Welcome![Sign In][Sign Up]
Location:
Search - vhdl 1602

Search list

[VHDL-FPGA-Verilog1602的FPGA控制

Description: 利用Altera的FPGA控制1602的模块
Platform: | Size: 799557 | Author: qiuwangsha | Hits:

[VHDL-FPGA-Verilog200622810562278741

Description: 基与vhdl语言实现1602LCM液晶显示屏的驱动函数和实例-Base with the VHDL language 1602LCM LCD driver functions and examples
Platform: | Size: 15360 | Author: lele | Hits:

[VHDL-FPGA-VerilogLCD

Description: vhdl经典源代码——LCD控制,入门者必须掌握-vhdl classical source code-- LCD control, beginners must master
Platform: | Size: 256000 | Author: jeffery | Hits:

[SCMS9_LCD1602

Description: 这是一个用VHDL语言写的LCD程序,希望对大家有所帮助-This is a VHDL language used to write the LCD process, and they hope to help everyone
Platform: | Size: 545792 | Author: 张侨 | Hits:

[VHDL-FPGA-VerilogDISPLAY

Description: 用 vhdl 语言编写的1602的小程序-With VHDL 1602 language of the small programs
Platform: | Size: 280576 | Author: zhg | Hits:

[VHDL-FPGA-Verilogbox

Description: 用vhdl语言编写的基于fpga的波形发生器,使用了quartusII程序。可以在1602液晶显示器上显示目前的波形种类。产生的波形分别是正弦波,三角波,锯齿波和方波。-VHDL language using FPGA-based waveform generator, using the procedures quartusII. 1602 liquid crystal display can show the current waveform types. Generated waveforms are sine wave, triangle wave, sawtooth and square wave.
Platform: | Size: 1708032 | Author: zhg | Hits:

[Other systemsfpga+1602

Description: 本程序用VHDL语言编程实现FPGA对点阵液晶1602的驱动 -This procedure using VHDL language programming FPGA to realize the 1602 dot-matrix LCD driver
Platform: | Size: 1024 | Author: pdu | Hits:

[VHDL-FPGA-Veriloglcd

Description: 利用VHDL语言编写,在lcd上显示计数.-Using VHDL language, in the lcd display count.
Platform: | Size: 3072 | Author: mao | Hits:

[VHDL-FPGA-VerilogLCDVHDL

Description: LCD控制VHDL程序与仿真程序代码很有价值-LCD control procedures and simulation of VHDL code valuable
Platform: | Size: 4096 | Author: 黄康 | Hits:

[VHDL-FPGA-VerilogVHDLLCD1602

Description: 这个是VHDL语言编写的LCD1602程序@-This is the VHDL language LCD1602 procedure @
Platform: | Size: 546816 | Author: | Hits:

[VHDL-FPGA-VerilogLCD

Description: 通过VHDL写的128*32液晶驱动接口。-Through VHDL to write 128* 32 LCD driver interface.
Platform: | Size: 158720 | Author: sunhao | Hits:

[VHDL-FPGA-Verilog12864

Description: 用VHDL 语言驱动DM128*64LCD程序-Using VHDL language driver DM128* 64LCD procedures
Platform: | Size: 1024 | Author: wang | Hits:

[SCMTG1602C

Description: 1602液晶屏的使用说明手册,很实用哦,对于使用VHDL编写液晶屏驱动具有参考价值。-1602 LCD screen use the instruction manual, it is practical Oh, for the use of VHDL LCD driver with the preparation of reference value.
Platform: | Size: 299008 | Author: 朱振宇 | Hits:

[SCM1602LCD

Description: 用EPM1270实现的1602液晶驱动Verilog-EPM1270 achieved by 1602 LCD driver Verilog
Platform: | Size: 295936 | Author: cx | Hits:

[Other Embeded programlcd_1602

Description: 1602 LCD控制的verilog代码,学习的好东西-1602 LCD control Verilog code, learning good things
Platform: | Size: 53248 | Author: sdffer343 | Hits:

[VHDL-FPGA-VerilogVHDL_1602

Description: 显示LCD,采用VHDL语言编写,基于1602的显示模块-Display LCD, using VHDL language, based on the 1602 display module
Platform: | Size: 1594368 | Author: lk | Hits:

[VHDL-FPGA-Verilog1602

Description: 用verilog写的1602驱动 仿真已经通过,可以使用-Written in 1602 by verilog-driven simulation has been passed, you can use
Platform: | Size: 2048 | Author: xuwen | Hits:

[SCMLCD_1602

Description: lcd 1602 xianshi kongzhiqudong-lc 1602
Platform: | Size: 481280 | Author: liqiang | Hits:

[VHDL-FPGA-VerilogMars-EP1C6-F_code2

Description: 此包为FPGA学习板接口实验程序源代码,共包括13个实验程序,有7段数码管,1602液晶显示,12864液晶显示,I2C总线,串口通信,拨码开关等.-The packet interface to FPGA board experimental procedure to study the source code, a total of 13 experimental procedure, there are 7-segment digital tube, 1602 LCD 12864 LCD, I2C bus, serial communication, DIP switch.
Platform: | Size: 4633600 | Author: sunxh092 | Hits:

[VHDL-FPGA-Verilog1602

Description: 实现了xilinx公司SPARTAN-3E板子上的1602显示功能。一个简单的例子-One exmple of 1602 on board of SPARTAN-3E of xilinx.
Platform: | Size: 151552 | Author: taq | Hits:
« 12 3 4 5 »

CodeBus www.codebus.net